可編程邏輯器件與單片機構(gòu)成的雙向通信控制器
在傳統(tǒng)的控制系統(tǒng)中,人們常常采用單片機作為控制核心。但這種方法硬件連線復雜,可靠性差,且單片機的端口數(shù)目、內(nèi)部定時器和中斷源的個數(shù)都有限,在實際應用中往往需要外加擴展芯片。這無疑對系統(tǒng)的設計帶來諸多不便。
現(xiàn)在有很多系統(tǒng)采用可編程邏輯器件CPLD作為控制核心。它與傳統(tǒng)設計相比較,不僅簡化了接口和控制,提高了系統(tǒng)的整體性能及工作可靠性,也為系統(tǒng)集成創(chuàng)造了條件。但可編程邏輯器件的D觸發(fā)器資源非常有限,而且可編程邏輯器件在控制時序方面不如單片機那樣方便,很多不熟悉的應用者往往感到應用起來非常的困難。利用可編程邏輯器件和單片機構(gòu)成的雙向通信控制器克服了兩者的缺點,且把二者的長處最大限度地發(fā)揮出來。
1 CPLD與單片機AT89C51雙向串行通信原理
1.1 單片機到可編程邏輯器件的串行通信
單片機到CPLD的串行通信接口電路是利用VHDL語言在CPLD中設計一個串行輸入并行輸出的八位移位寄存器,其端口與單片機的P1.4~P1.7相連,如圖1所示。CS為單片機選信號,當其為低時使能八位寄存器;當DCLOCK信號的上升沿到達clk端口時,八位移位寄存器就會將單片機輸出到cxin的一位數(shù)據(jù)移入;當單片機A寄存器中的八位數(shù)據(jù)欲傳送給CPLD時,就在P1.6連續(xù)產(chǎn)生八次上升沿,單片機便順次地將A中的數(shù)據(jù)移到cxin,八次后A中的數(shù)據(jù)段就會出現(xiàn)在CPLD的cxout中。
其VHDL源程序如下:
entity cuanxing is
port (clk,cxin,cs:in std_logic;
cxout:out std_logic_vector(7 downto 0));
end;
architecture rtl of cuanxing is
signal shift:std_logic_vector(7 downto 0) ;八位暫存變量并行輸出
begin
process(clk)
begin
if(cs='0')then
shift<=(others=>'0');若未被選中,輸出全零
elsif(clk'event and clk='1')then ;若上升沿到達clk時,被選中。
shift(7 downto 1)<=shift(6 downto 0) ;八位數(shù)據(jù)前移一位
shift(0)<=cxin;最低位由cxin輸入< font="">
end if;
end process;
cxout<=shift;將八位變量送至端口< font="">
end rtl;
與之相對應的單片機控制子程序如下(待發(fā)數(shù)據(jù)存放在A中):
CS EQU P1.4
EN EQU P1.5
DCLOCK EQU P1.6
DOUT EQU P1.7
CONV:PUSH 07H
MOV R7,#8 ;將移位個數(shù)8存入R7
CLR DCLOCK
SETB CS ;選中移位寄存器
CLR EN
CLR C
JXL:RLC A ;左移一位,將待發(fā)數(shù)據(jù)送至CY
MOV DOUT,C ;送至端口
ACALL YS1MS
SETB DCLOCK ;給一個上升沿,將數(shù)據(jù)移入移位寄存器
ACALL YS1MS
CLR DCLOCK
DJNZ R7,JXL ;若未到8次則傳送下一位
SETB EN ;八位命令字全部移入,給EN一個上升沿,使CPLD執(zhí)行相應操作
ACALL YS1MS
POP 07H
RET
1.3 可編程邏輯器件到單片機的串行通信
可編程邏輯器件到單片機的串行通信與單片機到可編程邏輯器件的串行通信類似,只不過八位寄存器改為并入串出,其端口如圖2所。當單片機的cs=1時,寄存器被選中;當load=1時,待發(fā)的數(shù)據(jù)被加載到bxin上;當clk上升沿到來時,將數(shù)據(jù)一位一位移出至bxout上,與此同時,單片機一位一位接收到自bxout上的數(shù)據(jù)。在clk八個上升沿后,加載到bxin的數(shù)據(jù)便被傳送至單片機的A寄存器中(其VHDL和單片機源程序由于篇幅所限,不予給出)。
2 雙控制系統(tǒng)的實現(xiàn)原理
由于單片機端口有限,所以大部分外圍器件的連線靠CPLD來完成,而對時序控制要求較高的那些外圍器件則靠單片機來間接控制。所謂間接控制就是指應用者可以自定義很多個命令字,每個命令字對應著CPLD一項或一系列操作。當單片機想讓某外部器件實現(xiàn)某種功能時,可以通過串行通信把命令字傳送給可編程邏輯器件CPLD。CPLD收到該命令字關判斷命令字后,便在EN的上升沿到來后執(zhí)行相應的操作。這里值得一提的是:命令字傳送給CPLD后,只有在EN的上升到來后CPLD才能執(zhí)行相應操作。這是為了防止命令字在字傳送期間使CPLD誤動作。
當外部器件有中斷信號反饋到控制器時,也可將特定的命令字由CPLD傳送給AT89C51,使AT89C51產(chǎn)生中斷進行處理。但無論有多少個外圍器件需產(chǎn)生中斷控制。在AT89CF51中斷子程序中,運行由CPLD向單片機的串行通信程序,將特定的命令字讀到A寄存器中,從而可知是如個外圍器件產(chǎn)生的中斷(由應用者自行定義),進而可知需何種外部操作。
本雙控制系統(tǒng)在項目設計中應用起來非常靈活方便,這里采用的是八位命令字,最多可自定義256個命令字。由于同時采用了單片機和CPLD,因此編程非常靈活方便,工程人員可根據(jù)自身特點而相應選擇。例如,有些人的單片機編程能力要比CPLD語言編程略好一些,那他可在VHDL語言編程中把命令字對應的操作定義得簡單一些(最簡單的莫過于使某個管腳變?yōu)楦唠娖交虻碗娖剑?;若是想簡化單片機的編程,則可使高電平或低電平);若是想簡化單片機的編程,則可使VHDL語言編程中特定命令字對應的操作復雜一些。
單片機的編程過程就是對A寄存器寫不同命令字,然后調(diào)用串行通信子程序的過程。若是VHDL的命令字,然后調(diào)用串行通信子程序的過程。若是VHDL的命令字對應程序簡單些,則要完成對某特定外圍器件的操作所需要的命令字調(diào)用就會多一些。反之亦然,僅此而已。
總之,用單片機與CPLD構(gòu)成的雙控制器,此用它們各自獨立開發(fā)項目要容易得多。

提交
超越傳統(tǒng)直覺,MATLAB/Simulink助力重型機械的智能化轉(zhuǎn)型
新大陸自動識別精彩亮相2024華南國際工業(yè)博覽會
派拓網(wǎng)絡被Forrester評為XDR領域領導者
智能工控,存儲強基 | 海康威視帶來精彩主題演講
展會|Lubeworks路博流體供料系統(tǒng)精彩亮相AMTS展會